max+plus ii V10.2

max+plus ii V10.2

  • 分类:编程软件
  • 版本: V10.2
  • 大小: 133M
  • 权限:查看

    需要调用以下权限

    暂无权限信息
  • 标签:编程软件
普通下载

点击报错

max+plus ii介绍

max+plus ii下载Max+plusII是Altera公司推出的的第三代PLD开发系统(Altera第四代PLD开发系统被称为:QuartusII,主要用于设计新器件和大规模CPLD/FPGA).使用MAX+PLUSII的设计者不需精通器件内部的复杂结构。设计者可以用自己熟悉的设计工具(如原理图输入或硬件描述语言)建立设计,MAX+PLUSII把这些设计转自动换成最终所需的格式。其设计速度非常快。对于一般几千门的电路设计,使用MAX+PLUSII,从设计输入到器件编程完毕,用户拿到设计好的逻辑电路,大约只需几小时。设计处理一般在数分钟内内完成。特别是在原理图输入等方面,Maxplus2被公认为是最易使用,人机界面最友善的PLD开发软件,特别适合初学者使用。

max+plus ii功能介绍

1.设计输入。在传统设计中,设计人员是应用传统的原理图输入方法来开始设计的。自90年代初, Verilog、VHDL、AHDL等硬件描述语言的输入方法在大规模设计中得到了广泛应用。
2.前仿真(功能仿真)。设计的电路必须在布局布线前验证电路功能是否有效。(ASCI设计中,这一步骤称为第一次Sign-off)PLD设计中,有时跳过这一步。
3.设计编译。设计输入之后就有一个从高层次系统行为设计向门级逻辑电路设转化翻译过程,即把设计输入的某种或某几种数据格式(网表)转化为软件可识别的某种数据格式(网表)。
4.优化。对于上述综合生成的网表,根据布尔方程功能等效的原则,用更小更快的综合结果代替一些复杂的单元,并与指定的库映射生成新的网表,这是减小电路规模的一条必由之路。
5.布局布线。在PLD设计中,3-5步可以用PLD厂家提供的开发软件(如 Maxplus2)自动一次完成。
6.后仿真(时序仿真)需要利用在布局布线中获得的精确参数再次验证电路的时序。(ASCI设计中,这一步骤称为第二次Sign—off)。
7.生产。布线和后仿真完成之后,就可以开始ASCI或PLD芯片的投产

max+plus ii使用方法

1. 运行"PCALTERA32BIT"目录下的"SETUP.EXE",
点击Install SoftwareMAX+PLUS II BASELINE Software安装软件。
Next按钮完成安装。
2. 第一次运行MAX+plus II时,会弹出一个"遵守协议"对话框,
用鼠标将内容下拉到最后, 选择“OK“。
3. 将安装盘目录下的******授权文件,复制到MAX+plus II的安装目录下(如"C:MAXPLUS2"目录)。
4. 再次启动MAX+plus II,选择菜单[Option]->[****** Setup]功能,
在弹出的对话框中,按"Browse"按钮,选择刚才复制的授权文件,
确认退出,退出MAX+plus II开发环境,再次运行MAX+plus II即可。
5. 打开控制面板,打开添加/删除硬件:

展开
信息
相关资讯
本类热门